小火車專題討論

討論主題及內容
主題發起人 yenming 發起日期: 2002/7/24     IP: 62.254.64.4
討論主題 請問有人知道便宜又大碗的""光感元件型號"""嗎
討論內容
(1049)

小弟考慮自己製作可以用來觸發訊號的設施
曾考慮過光敏電組
也曾想過使用"微動開關"~~~~~~~這都蠻便宜的
但是不太好控制
最後好像還是sensor 比較好
若有人知道的話可以告訴我嗎



有人已經有""紅綠燈","平交道"的 電路圖

或VHDL 燒錄檔

可以提供嗎 ????


可以告訴我如何把3~5V 的控制信號轉給 致動器嗎
像是15~~18V

可以告訴我實際的名稱嗎
這樣我才知道怎麼買零件
 
 
 































































參與討論者之意見
參加討論人 阿生 討論日期: 2002/8/6 上午 09:52:00     IP: 61.227.44.240
參加討論主題 ^^
參加討論內容
(5915)
我現在有在跟學校老師討論用紅外線來做火車通過訊號站時平交道開始動作的電路~~~如果有興趣我們來討論^^
那紅綠燈和平交道的電路圖我有~~但是我的電腦還有點怪怪的~~~所以我暫時不能傳~~~如果我用好了~~~我可以提供給你
 
 
參加討論人 bahama 討論日期: 2002/8/7 上午 10:31:25     IP: 218.162.33.224
參加討論主題 紅綠燈和平交道的電路圖
參加討論內容
(5919)
阿生大大
可否寄給我紅綠燈和平交道的電路圖ㄋ??
我ㄉMAIL: wahaman@giga.net.tw
感激不盡!!
 
 
參加討論人 yenming 討論日期: 2002/8/7 上午 10:42:48     IP: 62.254.64.4
參加討論主題 traffic_light 的VHDL 檔~~~~我稍微試過應該沒問題值行後可看看
參加討論內容
(5920)
--------------------------------------------------------
-- added to make below compile
--------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--------------------------------------------------------
ENTITY traffic_light IS
PORT(sensor : IN std_logic;
clock : IN std_logic;
red_light : OUT std_logic;
green_light : OUT std_logic;
yellow_light : OUT std_logic);
END traffic_light;

ARCHITECTURE simple OF traffic_light IS
TYPE t_state is (red, green, yellow);
SIGNAL present_state, next_state : t_state;
BEGIN
PROCESS(present_state, sensor)
BEGIN
CASE present_state IS
WHEN green =>
next_state <= yellow;
red_light <= '0';
green_light <= '1';
yellow_light <= '0';
WHEN red =>
red_light <= '1';
green_light <= '0';
yellow_light <= '0';
IF (sensor = '1') THEN
next_state <= green;
ELSE
next_state <= red;
END IF;
WHEN yellow =>
red_light <= '0';
green_light <= '0';
yellow_light <= '1';
next_state <= red;
END CASE;
END PROCESS;

PROCESS
BEGIN
WAIT UNTIL clock'EVENT and clock = '1';
present_state <= next_state;
END PROCESS;
END simple;
 
 
參加討論人 yenming 討論日期: 2002/8/7 上午 10:49:53     IP: 62.254.64.4
參加討論主題 阿生 ~~~~你住那
參加討論內容
(5921)
我住三重對控制很有興趣
其實我玩火車也是因為喜歡自己控制的"快感""
希望結合同好共製所有控制元件
搞不好還可以外銷
但是我是唸機械的 (>_<)

有個叫夢想之都的場景在製作中

請多交流
 
 
參加討論人 ㄚ生 討論日期: 2002/8/7 下午 10:13:28     IP: 61.227.44.248
參加討論主題 回:bahama  
參加討論內容
(5922)
嗯~~~~當然沒問題~~只是我的掃瞄機不能用~~~~
我現在在等他們修好後剛好我的網頁也好了~~我會一起用上去~~~~
我在傳一份到你的e_mail~~~至於感激就不用了~~~大家互相研究~~^^
快樂就好了^^~~~最慢8/17會傳到你的e_mail~~~很抱歉要你多等幾天
 
 
參加討論人 ㄚ生 討論日期: 2002/8/8 上午 11:03:02     IP: 61.227.44.106
參加討論主題 恩~~~我台中低
參加討論內容
(5924)
嗯~~~~跟我的夢想是差不多低~~~^^
我住台中~~~~有空一起研究~~~~
玩任何東西有分是唸什麼科系的ㄇ???
只要你有心要學~~~想要去做~~~一定會完成的
 
 
參加討論人 Dragon 討論日期: 2002/8/9 下午 02:09:00     IP: 211.72.135.186
參加討論主題 紅綠燈和平交道的電路圖
參加討論內容
(5925)
阿生車友
可否寄一份電路圖給我ㄋ
rick@anet.net.tw
謝謝嘍~~ ^_^
 
 
參加討論人 mjliu 討論日期: 2002/8/9 下午 03:34:03     IP: 163.29.57.130
參加討論主題 紅綠燈和平交道的電路圖 
參加討論內容
(5926)
阿生車友
可否寄一份電路圖給我ㄋ
mjliu@ms.taneeb.gov.tw
感激不盡
 
 
參加討論人 Jacky 討論日期: 2002/8/9 下午 10:13:05     IP: 218.32.24.206
參加討論主題 紅綠燈和平交道的電路圖
參加討論內容
(5927)
阿生車友我也要
可否寄一份電路圖給我ㄋ
love_jacky1@hotmail.com
感激不盡 
 
 
參加討論人 Mark 討論日期: 2002/8/14 下午 05:21:18     IP: 61.223.28.63
參加討論主題 紅綠燈和平交道的電路圖  
參加討論內容
(5947)
阿生車友我也要可否寄一份電路圖給我ㄋ
深深感謝
 
 
參加討論人 Mark 討論日期: 2002/8/14 下午 05:22:32     IP: 61.223.28.63
參加討論主題 紅綠燈和平交道的電路圖  
參加討論內容
(5948)
阿生車友我也要可否寄一份電路圖給我ㄋ
深深感謝
 
 
參加討論人 ㄚ生 討論日期: 2002/8/18 下午 06:59:59     IP: 61.227.47.221
參加討論主題 真是很對不起^^
參加討論內容
(5973)
很對不起ㄛ~~~因為我的掃瞄機一直沒辦法掃出圖來
所以就暫時不能寄給你們~~~~http://home.kimo.com.tw/l731206/
這是我的新網頁~~~電路圖我會在星期三之前將它登上去~~~我也會寄一份到大家e_mail中~~~如果沒有收到的在到我的網頁直中去下載~~~~
也歡迎大家一起多多討論和研究場景的東西^^
 
 
參加討論人 Mark    討論日期: 2002/8/19 下午 05:10:34     IP: 61.223.30.214
參加討論主題 紅綠燈和平交道的電路圖   
參加討論內容
(5982)
阿生車友
我也要可否寄一份電路圖給我ㄋ
markchiu@sinnyelectric.ccom.tw
感激不盡!!  
 
 
參加討論人 ㄚ生 討論日期: 2002/8/21 下午 10:48:12     IP: 61.227.44.91
參加討論主題 很對不起
參加討論內容
(5996)
對不起ㄛ~~~~~我同學的電腦也怪怪滴~~~~
可能要到星期五了~~~~一直掃不上去~~~~~
真的很對不起大家
 
 
參加討論人 阿智 討論日期: 2002/9/18 下午 07:07:54     IP: 61.217.92.89
參加討論主題 請問有人知道便宜又大碗的
參加討論內容
(6164)
我也要可以嗎??我的e-mail:a78.b78@msa.hinet.net,希望有人看的到,謝謝。
 
 
參加討論人 阿智 討論日期: 2002/9/18 下午 11:35:36     IP: 61.217.93.18
參加討論主題 我也要
參加討論內容
(6166)
可以給我一份嗎???我也想要,我的e-mail:a78.b78@msa.hinet.net,謝謝大家。
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:34:57     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18704)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:34:58     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18705)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:34:59     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18706)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:34:59     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18707)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:35:00     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18708)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Lyndee 討論日期: 2013/11/1 上午 02:35:01     IP: 12.104.205.5
參加討論主題 HyQicKbXWhAuIzWXv
參加討論內容
(18709)
insurance commercial car quotes how to get the best car insurance rate online community colleges cheap car insurance MI auto insurance quotes free MO health insurance quotes Houston atuo insurance quotes
 
 
參加討論人 Terrah 討論日期: 2013/11/1 下午 12:57:00     IP: 31.18.192.172
參加討論主題 hXVkYAOdTsPaVU
參加討論內容
(18728)
50 car insurance over auto insurance quotes nj viagra quote liability insurance for cheap and the best life insurance insurance auto quote NY
 
 
參加討論人 Najib 討論日期: 2013/11/2 下午 05:41:19     IP: 144.76.65.156
參加討論主題 TbmjEZvCxTnOB
參加討論內容
(18812)
Holy Toeldo, so glad I clicked on this site first! http://ecdcttd.com [url=http://cvwvhggwu.com]cvwvhggwu[/url] [link=http://irfbxklnvx.com]irfbxklnvx[/link]
 
 
參加討論人 Najib 討論日期: 2013/11/2 下午 05:41:19     IP: 144.76.65.156
參加討論主題 TbmjEZvCxTnOB
參加討論內容
(18813)
Holy Toeldo, so glad I clicked on this site first! http://ecdcttd.com [url=http://cvwvhggwu.com]cvwvhggwu[/url] [link=http://irfbxklnvx.com]irfbxklnvx[/link]
 
 
參加討論人 Najib 討論日期: 2013/11/2 下午 05:41:20     IP: 144.76.65.156
參加討論主題 TbmjEZvCxTnOB
參加討論內容
(18814)
Holy Toeldo, so glad I clicked on this site first! http://ecdcttd.com [url=http://cvwvhggwu.com]cvwvhggwu[/url] [link=http://irfbxklnvx.com]irfbxklnvx[/link]
 
 
參加討論人 Jeanette 討論日期: 2013/11/3 上午 10:34:54     IP: 213.83.129.98
參加討論主題 MOecgnREzSvVHFDqCRai
參加討論內容
(18861)
for military car insurance UT college degree online cheap car insurance free online car insurance quote VT car insurance sacramento
 
 
參加討論人 Jeanette 討論日期: 2013/11/3 上午 10:34:55     IP: 213.83.129.98
參加討論主題 MOecgnREzSvVHFDqCRai
參加討論內容
(18862)
for military car insurance UT college degree online cheap car insurance free online car insurance quote VT car insurance sacramento
 
 
參加討論人 Jeanette 討論日期: 2013/11/3 上午 10:34:56     IP: 213.83.129.98
參加討論主題 MOecgnREzSvVHFDqCRai
參加討論內容
(18863)
for military car insurance UT college degree online cheap car insurance free online car insurance quote VT car insurance sacramento
 
 
參加討論人 Millicent 討論日期: 2013/11/5 上午 01:04:46     IP: 50.198.61.241
參加討論主題 kqPtzAontgg
參加討論內容
(18985)
Indianapolis cheap auto insurance cheap auto insurance cheap car insurance free casino online cheapest car insurance anti impotence
 
 
參加討論人 Millicent 討論日期: 2013/11/5 上午 01:04:47     IP: 50.198.61.241
參加討論主題 kqPtzAontgg
參加討論內容
(18986)
Indianapolis cheap auto insurance cheap auto insurance cheap car insurance free casino online cheapest car insurance anti impotence
 
 
參加討論人 Millicent 討論日期: 2013/11/5 上午 01:04:48     IP: 50.198.61.241
參加討論主題 kqPtzAontgg
參加討論內容
(18987)
Indianapolis cheap auto insurance cheap auto insurance cheap car insurance free casino online cheapest car insurance anti impotence
 
 
參加討論人 Lonitra 討論日期: 2013/11/5 上午 01:58:57     IP: 193.33.179.226
參加討論主題 RQGhSmLGPZNBikHvSswk
參加討論內容
(18990)
fiserv health insurance online casino auto insurance quote online casino rushmore casino online car insurance heap state of florida discount car insurance
 
 
參加討論人 Lonitra 討論日期: 2013/11/5 上午 01:58:57     IP: 193.33.179.226
參加討論主題 RQGhSmLGPZNBikHvSswk
參加討論內容
(18991)
fiserv health insurance online casino auto insurance quote online casino rushmore casino online car insurance heap state of florida discount car insurance
 
 
參加討論人 Lonitra 討論日期: 2013/11/5 上午 01:58:58     IP: 193.33.179.226
參加討論主題 RQGhSmLGPZNBikHvSswk
參加討論內容
(18992)
fiserv health insurance online casino auto insurance quote online casino rushmore casino online car insurance heap state of florida discount car insurance
 
 
參加討論人 Marden 討論日期: 2013/11/7 上午 02:44:56     IP: 187.33.149.27
參加討論主題 AwuCQbJBxabuEXcQ
參加討論內容
(19265)
baja car insurance IA car insurance quotes Indiana cilas generic cheap car ins nj online slots at casinos
 
 
參加討論人 Jeanne 討論日期: 2013/11/8 上午 04:40:00     IP: 94.132.176.7
參加討論主題 oPNxbaomcpxFO
參加討論內容
(19447)
auto insurance sainsburies product liability insurance and public play free online blackjack life insurance policies car insurance rates insurance for teenage high risk drivers in michigan NJ
 
 
參加討論人 Jeanne 討論日期: 2013/11/8 上午 04:40:39     IP: 94.132.176.7
參加討論主題 oPNxbaomcpxFO
參加討論內容
(19448)
auto insurance sainsburies product liability insurance and public play free online blackjack life insurance policies car insurance rates insurance for teenage high risk drivers in michigan NJ
 
 
參加討論人 Lidia 討論日期: 2013/11/9 下午 01:00:45     IP: 142.54.240.103
參加討論主題 fFqeBYOthDfHIbDJNpT
參加討論內容
(19650)
Eveyrone would benefit from reading this post
 
 
參加討論人 Lidia 討論日期: 2013/11/9 下午 01:00:51     IP: 142.54.240.103
參加討論主題 fFqeBYOthDfHIbDJNpT
參加討論內容
(19651)
Eveyrone would benefit from reading this post
 
 
參加討論人 Lidia 討論日期: 2013/11/9 下午 01:00:52     IP: 142.54.240.103
參加討論主題 fFqeBYOthDfHIbDJNpT
參加討論內容
(19652)
Eveyrone would benefit from reading this post
 
 
參加討論人 Bardo 討論日期: 2013/11/9 下午 06:48:59     IP: 79.25.233.79
參加討論主題 JRVqxqlURxFbKAnlXK
參加討論內容
(19664)
cialis car insurance farm bureau cialis car insurance quotes pa impotence drug
 
 
參加討論人 Bardo 討論日期: 2013/11/9 下午 06:49:01     IP: 79.25.233.79
參加討論主題 JRVqxqlURxFbKAnlXK
參加討論內容
(19665)
cialis car insurance farm bureau cialis car insurance quotes pa impotence drug
 
 
參加討論人 Bardo 討論日期: 2013/11/9 下午 06:49:03     IP: 79.25.233.79
參加討論主題 JRVqxqlURxFbKAnlXK
參加討論內容
(19666)
cialis car insurance farm bureau cialis car insurance quotes pa impotence drug
 
 
參加討論人 Namari 討論日期: 2013/11/12 下午 08:53:09     IP: 66.41.158.85
參加討論主題 mMTDcexvklVGOE
參加討論內容
(19887)
cheapest car insurance online casino games for fun casino games car insurance cheap car insurance male totaled car insurance auto insurance
 
 
參加討論人 Namari 討論日期: 2013/11/12 下午 08:53:12     IP: 66.41.158.85
參加討論主題 mMTDcexvklVGOE
參加討論內容
(19888)
cheapest car insurance online casino games for fun casino games car insurance cheap car insurance male totaled car insurance auto insurance
 
 
參加討論人 Namari 討論日期: 2013/11/12 下午 08:53:13     IP: 66.41.158.85
參加討論主題 mMTDcexvklVGOE
參加討論內容
(19889)
cheapest car insurance online casino games for fun casino games car insurance cheap car insurance male totaled car insurance auto insurance
 
 
參加討論人 Jenny 討論日期: 2013/11/12 下午 09:43:25     IP: 111.93.200.154
參加討論主題 YCQLoEgmjhOdqAln
參加討論內容
(19892)
South Dakota car insurance quote insurance quotes auto Virginia Beach texas auto insurance car insurance rates online life insurance quote car insurance quotes what is the best and cheapest auto insurance
 
 
參加討論人 Jenny 討論日期: 2013/11/12 下午 09:43:29     IP: 111.93.200.154
參加討論主題 YCQLoEgmjhOdqAln
參加討論內容
(19893)
South Dakota car insurance quote insurance quotes auto Virginia Beach texas auto insurance car insurance rates online life insurance quote car insurance quotes what is the best and cheapest auto insurance
 
 
參加討論人 Jenny 討論日期: 2013/11/12 下午 09:43:30     IP: 111.93.200.154
參加討論主題 YCQLoEgmjhOdqAln
參加討論內容
(19894)
South Dakota car insurance quote insurance quotes auto Virginia Beach texas auto insurance car insurance rates online life insurance quote car insurance quotes what is the best and cheapest auto insurance
 
 
參加討論人 Kassi 討論日期: 2013/11/13 下午 08:52:39     IP: 177.200.240.37
參加討論主題 YwSAMhCaPdzc
參加討論內容
(19937)
car insurance quotes bigger online casino casino online buy viagra without a prescription cheap auto insurance car insurance rates
 
 
參加討論人 Gildas 討論日期: 2013/11/14 下午 10:33:01     IP: 142.90.107.59
參加討論主題 xwnMPDjOhqxF
參加討論內容
(19960)
propecia buy on line viagra cialius slots auto insurance
 
 
參加討論人 Gildas 討論日期: 2013/11/14 下午 10:33:02     IP: 142.90.107.59
參加討論主題 xwnMPDjOhqxF
參加討論內容
(19961)
propecia buy on line viagra cialius slots auto insurance
 
 
參加討論人 Gildas 討論日期: 2013/11/14 下午 10:33:03     IP: 142.90.107.59
參加討論主題 xwnMPDjOhqxF
參加討論內容
(19962)
propecia buy on line viagra cialius slots auto insurance
 
 
參加討論人 Melia 討論日期: 2013/11/16 下午 09:00:17     IP: 208.76.64.248
參加討論主題 LhCYVkuwUnbHC
參加討論內容
(20041)
auto insurance socal get auto insurance quotes car insurance rates flroida automobile insurance life insurance policy ratings
 
 
參加討論人 Melia 討論日期: 2013/11/16 下午 09:00:19     IP: 208.76.64.248
參加討論主題 LhCYVkuwUnbHC
參加討論內容
(20042)
auto insurance socal get auto insurance quotes car insurance rates flroida automobile insurance life insurance policy ratings
 
 
參加討論人 Melia 討論日期: 2013/11/16 下午 09:00:20     IP: 208.76.64.248
參加討論主題 LhCYVkuwUnbHC
參加討論內容
(20043)
auto insurance socal get auto insurance quotes car insurance rates flroida automobile insurance life insurance policy ratings
 
 
參加討論人 Xexilia 討論日期: 2013/11/17 上午 11:08:57     IP: 126.15.112.103
參加討論主題 cQTSkWgINNiVmJfcQcek
參加討論內容
(20058)
brand name levitra college online best online poker auto insurance westchester what is the cheapest car insurance in nj online casino
 
 
參加討論人 Xexilia 討論日期: 2013/11/17 上午 11:08:59     IP: 126.15.112.103
參加討論主題 cQTSkWgINNiVmJfcQcek
參加討論內容
(20059)
brand name levitra college online best online poker auto insurance westchester what is the cheapest car insurance in nj online casino
 
 
參加討論人 Xexilia 討論日期: 2013/11/17 上午 11:09:03     IP: 126.15.112.103
參加討論主題 cQTSkWgINNiVmJfcQcek
參加討論內容
(20060)
brand name levitra college online best online poker auto insurance westchester what is the cheapest car insurance in nj online casino
 
 
參加討論人 Sequoia 討論日期: 2013/11/18 上午 03:08:47     IP: 67.205.111.165
參加討論主題 yPrfdGgKCIjDBFgPp
參加討論內容
(20086)
cialis alternatives automobile insurance quotes affordable car insurance auto insurance rate for cars car insurance quotes in india distance learning car insurance rates
 
 
參加討論人 Sequoia 討論日期: 2013/11/18 上午 03:08:49     IP: 67.205.111.165
參加討論主題 yPrfdGgKCIjDBFgPp
參加討論內容
(20087)
cialis alternatives automobile insurance quotes affordable car insurance auto insurance rate for cars car insurance quotes in india distance learning car insurance rates
 
 
參加討論人 Sequoia 討論日期: 2013/11/18 上午 03:08:50     IP: 67.205.111.165
參加討論主題 yPrfdGgKCIjDBFgPp
參加討論內容
(20088)
cialis alternatives automobile insurance quotes affordable car insurance auto insurance rate for cars car insurance quotes in india distance learning car insurance rates
 
 
添加投票
我要參加討論
車友姓名
電子信箱
討論主題
圖片上傳 EXT_photo 補充圖片最多五張
1 Remark1:
2 Remark2:
3 Remark3:
4 Remark3:
5 Remark3:
討論內容
IP: 3.15.142.129 將被記錄